Posted: February 18, 2010

SEMATECH kicks off consortium at UAlbany NanoCollege to develop crucial EUV metrology tools

(Nanowerk News) SEMATECH has launched a global consortium at the College of Nanoscale Science and Engineering's (CNSE) Albany NanoTech Complex to develop critical metrology tools for detecting defects in advanced masks needed for extreme ultraviolet lithography (EUVL) - filling an industry need considered too costly for individual companies to develop independently.
The new EUVL Mask Infrastructure (EMI) Partnership has drawn strong interest from six semiconductor industry entities. Additional members are being sought for the consortium, which will pursue an ambitious metrology program to enable defect-free EUVL masks for high-volume manufacturing by 2013.
"EUV mask defectivity is the single greatest challenge to EUV readiness, but finding the defects requires metrology tools that do not yet exist," said John Warlaumont, SEMATECH's vice president of Advanced Technology. "These tools will not be available in time without intervention, and the industry agrees that SEMATECH is the place to come together and partner for solutions."
The EMI Partnership is open to mask and chip-makers, mask blank suppliers, other consortia, and regional governments. It will be administered by SEMATECH's Lithography Program, based at the UAlbany NanoCollege.
"The development of advanced metrology solutions is critical to accelerating the use of EUV lithography for the manufacturing of nanoelectronics devices," said Richard Brilla, CNSE Vice President for Strategy, Alliances and Consortia. "Once again, the partnership between SEMATECH and the UAlbany NanoCollege is leveraging the CNSE infrastructure and driving innovative research that will support the needs of our corporate partners and the nanoelectronics industry."
Optical lithography is unlikely to be able to pattern chips beyond the 22 nm technology generation, and EUVL, with a wavelength of only 13.5 nm, is widely considered the best replacement for optical lithography. The EUV masks used for sub-22 nm patterning must be virtually free of defects to avoid transferring them onto chip circuits - but current metrology tools are generally ineffective at finding defects below 32 nm.
The EMI Partnership will address this metrology gap in phases by funding development of three metrology tools. First efforts will focus on enabling an enhanced EUV mask blank inspection capability by 2011, followed by development of an aerial imaging metrology system (AIMSTM) for EUV in 2013, and finally an EUV mask pattern inspection tool able to work at 16 nm by 2015. Producing prototypes of these tools is expected to cost an estimated $200 million or more.
Since 2003, the semiconductor industry has ranked defect-free EUV masks among its top three technical issues, and SEMATECH has led technical programs to drive defect reduction. At the request of the industry, SEMATECH began pursuing a consortial solution for the required metrology infrastructure with a special workshop at SEMICON West in July 2009, continuing with working groups to develop proposals and efforts to sign up initial members. Going forward, SEMATECH will facilitate consensus building among the EMI partners, providing crucial data and a discussion forum for reaching conclusive agreements.
More information on memberships is available from [email protected] or [email protected] .
About SEMATECH
For over 20 years, SEMATECH® (www.sematech.org ), the international consortium of leading semiconductor manufacturers, has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.
About CNSE
The UAlbany CNSE is the first college in the world dedicated to education, research, development, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. CNSE's Albany NanoTech Complex is the most advanced research enterprise of its kind at any university in the world. With over $5.5 billion in high-tech investments, the 800,000-square-foot complex attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 80,000 square feet of Class 1 capable cleanrooms. More than 2,500 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech, from companies including IBM, AMD, GlobalFoundries, SEMATECH, Toshiba, Applied Materials, Tokyo Electron, ASML, Novellus Systems, Vistec Lithography and Atotech. For more information, visit www.cnse.albany.edu.
Source: CNSE