Using eBeam technologies to improve 20nm and 14nm wafer yields

(Nanowerk News) The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that several of its members will present the latest breakthroughs using eBeam technologies to improve photomask critical dimension uniformity (CDU) and wafer yields this week during Photomask Japan (PMJ) 2012, the 19th international symposium on photomasks and nextgeneration lithography masks being held at the Pacifico Yokohama in Yokohama, Japan.
Among these, the eBeam Initiative will highlight results from HOYA Corporation on improving mask quality while decreasing shot count through the use of model-based mask data preparation (MB-MDP) applied on a production mask writer.
In addition, GLOBALFOUNDRIES will present mask-wafer double-simulation results demonstrating the impact of MB-MDP on wafer simulation. Traditionally, process variation (PV) band, depth of focus (DOF) and mask error enhancement factor (MEEF) have been important criteria in determining the quality of the shapes projected on the semiconductor wafers by a particular set of patterns on the mask. Improving these criteria improves wafer yield. GLOBALFOUNDRIES will highlight how this improvement can be realized by enabling inverse lithography (ILT) through MB-MDP. Additionally, shape-dependent-mask CDU has emerged as a key variable impacting wafer yield. HOYA will demonstrate the impact of new eBeam technologies—such as MB-MDP and overlapping variable-shaped beam (VSB) shots—on shot count, mask fidelity and robustness to manufacturing variation.
According to Aki Fujimura, CEO of D2S, Inc., managing company sponsor of the eBeam Initiative, "At and below the 20-nm logic node, mask assist features as well as some parts of the main mask features are smaller than 80 nm in width, which makes mask accuracy increasingly difficult to maintain—in turn negatively affecting wafer yield. The increasing rate of innovation in semiconductor manufacturing is accelerating the importance and value of eBeam technologies in the semiconductor supply chain to address the challenges associated with mask accuracy, shot count and production costs. We applaud the efforts of eBeam Initiative members, including HOYA and GLOBALFOUNDRIES, who have played a vital role in guiding the ecosystem to support the introduction of much-needed advances in eBeam technologies."
HOYA Corporation, a member of the eBeam Initiative and a manufacturer of advanced photomasks, collaborated with fellow members D2S and JEOL to evaluate eBeam improvements to mask quality to support the complex mask requirements of its customers. "With optical lithography continuing to be critical for the foreseeable future, the variation control of the shape-dependent mask pattern quality is becoming increasingly important to our customers for leading-edge masks," said Yasuki Kimura, Project Leader, Photolitho-Link Project, Advanced Technology Department at HOYA. "We are very pleased to find that MB-MDP reduces the burden on the writers in the production line through shot count reduction while achieving our critical mask quality objectives. We expect that both shot count and mask quality will improve further with more evaluation, and even further with the introduction of circular apertures."
eBeam Initiative Member Updates
In related news, the eBeam Initiative welcomes IMS Nanofabrication AG and tau-Metrix to its membership. In addition, a white paper commissioned by the eBeam Initiative on the rising impact of mask CDU to wafer yields, titled "Shape-Dependent Mask CD Uniformity Impacts Tradeoffs in Design Rules and Wafer Quality at 20-nm and Below," will be made available along with newly published results after Thursday, April 19 on the eBeam Initiative website at www.ebeam.org.
About The eBeam Initiative
The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem. Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Aselta Nanographics, Cadence Design Systems, CEA-Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, e-Shuttle, Jack Harding from eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, HOYA Corporation, IMS CHIPS, IMS Nanofabrication AG, JEOL, KLA-Tencor, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, SoftJin Technologies, STMicroelectronics, Synopsys, tau-Metrix, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Xilinx. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.
Source: NIST