The long and tortuous path of EUV lithography to full production

(Nanowerk Spotlight) EUV lithography was first included in the next-generation lithography road maps in the early 90s, but after about 20 years it is not yet ready for prime time. In this article we briefly analyze the history of EUV in the last 2 decades and the situation as of today.
The new generation of engineers and scientists may not remember about this, but I do.
The first time when the public at large came to know about EUV (extreme ultraviolet) lithography was around 1994-1995, I believe, and I first read about it probably in an article of that bible for the semiconductor aficionados that was Byte magazine.
About that time, people were discussing about the next step in optical lithography after the 193nm stepper era, most people were convinced that 157nm would be the industry choice but pundits were wondering what would happen after 157nm and I remember the talk was mostly about EUV.
Extreme ultraviolet technology posed and still poses formidable challenges as it is based on principles vastly different from conventional DUV (deep ultraviolet) lithography.
Firstly, all matter absorbs EUV radiation, so all the components of the stepper must be placed in the vacuum. Electrostatic chucks and not vacuum chucks must be used.
As features size shrinks, so the control on line-edge roughness must be increased and this is a challenge as line-edge roughness is usually a trade-off between image resolution, sensitivity of the resist and brightness of the light source.
Sensitivity of the resist, defectivity of the masks are also challenging aspects but the main issue that has hindered the release of EUV lithography in production so far is the lack of a reliable power source capable of supporting sustained production of at least 100-125 wafers per hour.
The enormous pressure on the main actor behind EUV lithography development, that is ASML, has led to its purchase of Cymer, a leading light-source maker with strong EUV light-source technology competences.
Back in the late 1990s, EUV was expected to make it for the 65nm node, that is, around 2005-2006 in Intel's timetable and the industry was hoping that 157nm steppers could bridge the gap between 193nm and EUV (or whatever candidate for next-next-generation lithography would have made it for prime time).
193nm litho was universally seen as inadequate for patterning much below 100nm as, as some industry insider at that time said, this would have been similar to painting a very detailed drawing with a broad brush.
What happened after that is now history: 157nm lithography was simply killed by immersion lithography in the early 2000s and engineers found several tricks and hacks to extend 193nm up until now saving the whole industry and Moore` s Law.
With EUV constantly delayed year after year, stepper makers firstly moved from KrF to ArF lasers, then adopted immersion lithography by replacing the air gap between the lens and the substrate with a liquid that has a refractive index of less than one.
Finally, double patterning has been adopted, by using a double set of masks for patterning the same substrate and therefore increasing the feature density.
This has so far brought the industry up to the 32nm node and to the 22nm node, while it is unclear whether Intel has been forced to adopt double patterning for its upcoming 14nm node.
What is sure is that, while immersion and multiple-patterning may be extended up to the 7nm, or even until the 5nm node, the related costs are becoming staggeringly high, and lithography is taking an increasing share of the total chip production costs.
So, while strictly technical issues may not stop Moore` s Law any time soon, economic ones can.
It is no wonder then that the whole industry is now waiting for EUV to be ready for production and save the day.
While other players such as Canon and Toshiba are working hard on competitor technologies such as nanoimprint lithography, and Leti is betting the farm on direct self-assembly and multiple electron-beam lithography with their IMAGINE program, much of the rest of the industry is convinced that there is no real solid alternative to EUV.
But whether at last EUV will be able to deliver remains to be seen.
Recent developments do not look much encouraging: the test tool delivered at TSMC a few weeks ago broke down and now it is requiring intensive repairing and, despite all claims of the opposite, light source power for EUV steppers is still stuck somewhere between 10W to 15W, slightly more if we consider as true Cymer` s recent claims about their LPP technology or Gigaphoton's claims about their 43W light source.
But we are still far from what is considered the minimum for a high-volume manufacturing system, that is, 100W-125W and even more from the final goal, which is 250W.
Despite all the drawbacks and delays and after some $15-$20 billion spent so far by industry and government agencies for EUV development, the semiconductor world still hopes that, as ASML's CEO and President Peter Wennink recently stated, EUV will be really ready for prime time in two years.
By Matteo Martini, a nanotechnology expert based in Tokyo Japan, CEO of Martini Tech and specialized in nanoimprinting, PSS patterning, GaN MOCVD deposition, sputtering, MEMS foundry and other microfabrication-related services.
 

Become a Spotlight guest author! Join our large and growing group of guest contributors. Have you just published a scientific paper or have other exciting developments to share with the nanotechnology community? Here is how to publish on nanowerk.com.