Posted: April 14, 2010

eBeam Initiative members publish collaborative results at Photomask Japan 2010

(Nanowerk News) The eBeam Initiative, a forum dedicated to the education and promotion of a new design-to-manufacturing approach known as design for e-beam (DFEB), today announced that several of its members will jointly present the latest breakthroughs in design-for-e-beam (DFEB) mask technology at Photomask Japan 2010—one of the world's premier symposia for advanced lithography mask technology. The joint proof points demonstrate the progress and effectiveness of DFEB mask technology in supporting the production of advanced logic devices at the 22-nm node and beyond. Photomask Japan 2010 will be held April 13-15 at Pacifico Yokohama in Yokohama, Japan.
The eBeam Initiative also announced today that three new companies have joined its ranks. These new members—the Advanced Mask Technology Center (AMTC) (a joint venture of GLOBALFOUNDRIES and Toppan Photomasks), Fraunhofer CNT, and HOYA Corporation—are key additions to the Initiative in strengthening the ecosystem that is critical to supporting the commercialization of DFEB mask technology.
"I am looking forward to working with other eBeam Initiative members to implement DFEB mask technology," said Dr. Jan Hendrik Peters, senior technical strategist at AMTC. "By using DFEB mask technology we can address the industry's critical business and technical issues that apply to both 193i and EUV lithography. We are proud to play a role as a member of the eBeam Initiative to help develop technology solutions that extend optical lithography beyond the 22-nm logic node."
At Photomask Japan 2010, eBeam Initiative members JEOL and D2S will present a paper titled "Best Depth of Focus on 22-nm Logic Wafers with Less Shot Count" on April 15, during the Mask-related Lithography session from 10:30-10:50 a.m. In addition, Initiative members JEOL, Petersen Advanced Lithography and D2S will present a paper titled "Writing 'Wavy' Metal 1 Shapes on 22-nm Logic Wafers with Less Shot Count" on April 15, during the EDA, DFM and MDP session from 11:10-11:30 a.m. Both papers represent the first published examples of DFEB mask technology being successfully deployed on advanced photomasks in fewer shot counts and less write-time than traditional e-beam writing techniques. This demonstrates the ability of DFEB mask technology to help extend optical lithography to the 22-nm logic node in a practical manner.
CEO of D2S and managing sponsor of the Initiative, Aki Fujimura, stated, "We now have clear, proven results that DFEB mask technology is viable due to the collaborative efforts of eBeam Initiative members. Combined with the expertise of our new member companies, we are excited to be gaining traction and look forward to future collaborative contributions that further progress the DFEB mask technology roadmap."
About The eBeam Initiative
The eBeam Initiative provides a forum for educational and promotional activities regarding a new design-to-manufacturing approach, known as design for e-beam (DFEB). DFEB reduces mask costs for semiconductor devices by combining design, design software, manufacturing, manufacturing equipment and manufacturing software expertise. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in DFEB throughout the semiconductor ecosystem. Members and advisors, which span the semiconductor ecosystem, include: Advantest, Alchip Technologies, Altos Design Automation, AMTC, Cadence Design Systems, CEA/Leti, D2S, Dai Nippon Printing, Martin M. Deneroff, e-Shuttle, Jack Harding from eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, HOYA Corporation, JEOL, KLA-Tencor, Magma Design Automation, NuFlare Technology, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Samsung Electronics, STMicroelectronics, Tela Innovations, Toppan Printing, Virage Logic and Vistec Electron Beam Lithography Group. Membership is open to all companies and institutions throughout the electronics industry.
Source: The eBeam Initiative