SEMATECH achieves world-class defect reductions in EUV mask blanks to enable high-volume manufacturing

(Nanowerk News) SEMATECH announced today that researchers have reached a significant milestone in reducing tool-generated defects from multi-layer deposition of mask blanks used for extreme ultraviolet lithography (EUVL), bringing that technology a step closer to high-volume manufacturing.
Following a two-year effort to improve deposition tool hardware, process parameters and substrate cleaning techniques, technologists deposited EUV multilayers with as few as eight defects per mask at 50 nm sensitivity (SiO2 equivalent), which includes 6 substrate defects, one handling defect and one defect from the multi-layer deposition process. This result was achieved on a 40 bi-layer film stack with an Ru cap and measured over the mask blank quality area of 132 x 132 mm2.
Furthermore, SEMATECH has developed novel cleaning processes which have significantly improved substrate cleaning yield on quality substrates, yielding an integrated process capable of manufacturing EUV mask blanks with less than 20 total defects at 45 nm sensitivity. The achievements in mask defect reduction and increase in yield for high quality blanks are attributed to a significant improvement in substrate cleaning, handling, and deposition.
Defects are generally formed by decoration of substrate defects by the multilayer deposition process and, to a lesser extent, by the deposition process itself and have prevented the quality of mask blanks from keeping pace with roadmap requirements for the production of pilot line and high-volume manufacturing EUV reticles. Reducing the defects in the extreme ultraviolet (EUV) mask blank multilayer deposition system is one of the most critical technology gaps the industry needs to address to enable cost effective insertion of this technology at the 22 nm half-pitch. For successful introduction, integrated EUV blanks must meet a defectivity level of less than 0.003 defects/cm2 at 25 nm sensitivity.
“SEMATECH continues to produce results that the industry needs to show that EUVL is manufacturable,” said Stefan Wurm, SEMATECH’s Lithography director. “SEMATECH’s Mask Blank Defect Reduction program continues to demonstrate practical results for mask blank defect reduction, more efficient deposition and cleaning processes, effective reticle handling, and other areas that can support high-volume EUVL manufacturing at the 22 nm half-pitch node.”
“EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology,” said Frank Goodwin, manager of SEMATECH’s Mask Blank Defect Reduction program. “The goal of our work is to enable model-based prediction and data driven analysis of defect performance for targeted process improvement and component learning to feed into the new deposition tool design.”
Substrate and mask blank defect levels have steadily improved across the industry, but more slowly than expected. To accelerate progress, SEMATECH’s Mask Blank Defect Reduction program has developed world-class knowledge on the composition of very small defects, through sophisticated defect analysis capabilities and processes that include the use of leading-edge analytical tools such as the Titan TEM and an Auger tool for mask surface analysis. SEMATECH's Mask Blank Defect Reduction program is supported by the Mask Blank Development Center, located at the College of Nanoscale Science and Engineering (CNSE), State University of New York, Albany, to develop defect-free EUV blanks.
About SEMATECH
SEMATECH®, the international consortium of leading semiconductor device, equipment, and materials manufacturers, this year celebrates 25 years of excellence in accelerating the commercialization of technology innovations into manufacturing solutions. Through our unwavering commitment to foster collaboration across the nanoelectronics industry, we help our members and partners address critical industry transitions, drive technical consensus, pull research into the industry mainstream, improve manufacturing productivity, and reduce risk and time to market. Information about SEMATECH can be found at www.sematech.org .
Source: SEMATECH