SEMATECH celebrates 25 years of advancing technology and manufacturing innovations and collaboration

(Nanowerk News) This year, SEMATECH, the international consortium of leading semiconductor device, equipment, and materials manufacturers, observes its 25th anniversary and celebrates the pre-competitive collaboration that has been the foundation for many of the industry's technology and manufacturing breakthroughs.
In the twenty-five years since it was founded, SEMATECH has evolved from a bold five-year experiment in U.S. industry/government cooperation to an international collaboration of the broader semiconductor community, including device makers, universities, governments, national laboratories, and the entire industry supply chain.
"SEMATECH has changed dramatically since its inception – in the players in the industry, the technologies we're addressing, and the partners we're working with around the world. But what remains consistent is our unrelenting push for innovative solutions and the collaborative efforts to solve common challenges through leveraging resources and sharing risks," said Dan Armbrust, SEMATECH's president and CEO.
For over two decades, SEMATECH has helped to bring the world's leading semiconductor manufacturers together to share resources and solve their most pressing technical and manufacturing challenges.
Some of SEMATECH's key accomplishments include the following:
  • Laying the groundwork for wafer size transitions
  • Establishing and maintaining the semiconductor industry roadmap
  • Building industry-wide consensus and developing infrastructure for a succession of next-generation lithography technologies, including EUV
  • Guiding the development of robust copper/low-k and 3D interconnect technologies
  • Receiving the prestigious Climate Protection Award from the U.S. Environmental Protection Agency (EPA) for work in reducing perfluorocarbon (PFC) emissions
  • Establishing the Resist and Materials Development Center and the world's first EUV Mask Blank Development Center, at the College for Nanoscale Science and Engineering (CNSE) of the University at Albany
  • Facilitating breakthroughs in advanced device structures and materials, including high-k metal gate stacks and III-V materials
  • Launching the U.S. Photovoltaic Manufacturing Consortium (PVMC), a partnership between SEMATECH and CNSE, to enable the development of advanced PV-related manufacturing processes throughout the U.S.
  • Throughout 2012, SEMATECH will be commemorating its 25th anniversary through its lineup of technology-rich forums, the SEMATECH Knowledge Series (SKS), and its Annual SEMICON West Reception to be held July 11 in San Francisco, CA.
    The 2012 SKS meetings include the following:
    SEMATECH Surface Preparation and Cleaning Conference – March 19-21; Austin, TX
    Participants will explore current developments and ITRS challenges in wafer and mask cleaning, including wafer front-end, wafer back-end, and advanced mask as well as environment, safety, and health issues for the 16 nm node and beyond.
    GSA/SEMATECH Memory + Conference – April 16; Tokyo, Japan
    The conference will feature senior executives from leading companies in the memory, logic and system markets to share their perspectives and insights regarding future memory applications, viable business models and collaborative opportunities among logic device and memory technologies.
    International Technology Roadmap for Semiconductors (ITRS) Conferences
    Summer Meeting, July 11; San Francisco, CA
    Winter Meeting, December 5; Hsinchu Taiwan
    These public conferences offer technologists and strategists from the manufacturing and supplier communities the opportunity to participate in building the next ITRS by providing input to the working group teams of industry and research experts who revise the semiconductor industry roadmap.
    SEMATECH Symposia
    Japan Symposium, June 26; Tokyo, Japan
    Taiwan Symposium, October 18; Hsinchu, Taiwan
    Korea Symposium, October; Seoul, Korea
    Executives and technical experts from SEMATECH and the semiconductor industry community will come together in keynote and in-depth technical sessions to share perspectives on progress and challenges in the areas of advanced devices, EUV lithography, 3D interconnects, and manufacturing productivity.
    SEMATECH 3D Interconnect Workshops
    Metrology for 3D Interconnect; July; San Francisco, CA
    3D Electrostatic Discharge; July; San Francisco, CA
    3D Processes; September/October; Location TBA
    SEMATECH Advanced Mask Cleaning Workshop – September; Monterey, CA
    The full-day workshop provides a forum for SEMATECH members, mask and wafer cleaning suppliers, and researchers to discuss advancements in technologies and solutions applicable to advanced mask cleaning and surface preparation challenges.
    SEMATECH International Symposium on Advanced Gate Stack Technology – September 19-20; Albany, NY
    The symposium will feature industry experts presenting their latest research in both invited and contributed talks and a discussion panel of representatives from major semiconductor device makers, equipment makers, and academia.
    International Symposium on Extreme Ultraviolet Lithography and Lithography Extensions – September 30 – October 4; Brussels, Belgium
    The symposium brings the industry together to discuss and assess the worldwide status of EUVL technology and infrastructure readiness.
    Meeting information will be updated during the remainder of the year. All events will be open to the public, with several accepting sponsorships. For further information on upcoming SKS events and meetings, visit www.sematech.org/meetings/sks.htm.
    About SEMATECH
    SEMATECH®, the international consortium of leading semiconductor device, equipment, and materials manufacturers, this year celebrates 25 years of excellence in accelerating the commercialization of technology innovations into manufacturing solutions. Through our unwavering commitment to foster collaboration across the nanoelectronics industry, we help our members and partners address critical industry transitions, drive technical consensus, pull research into the industry mainstream, improve manufacturing productivity, and reduce risk and time to market. Information about SEMATECH can be found at www.sematech.org.
    Source: SEMATECH